XScale

XScale

The XScale, a microprocessor core, is Intel's and Marvell's implementation of the ARMv5 architecture, and consists of several distinct families: IXP, IXC, IOP, PXA and CE (see more below). Intel sold the PXA family to Marvell Technology Group in June 2006.[1]

The XScale architecture is based on the ARMv5TE ISA without the floating point instructions. XScale uses a seven-stage integer and an eight-stage memory superpipelined microarchitecture. It is the successor to the Intel StrongARM line of microprocessors and microcontrollers, which Intel acquired from DEC's Digital Semiconductor division as the side effect of a lawsuit between the two companies. Intel used the StrongARM to replace its ailing line of outdated RISC processors, the i860 and i960.

All the generations of XScale are 32-bit ARMv5TE processors manufactured with a 0.18 µm or 0.13 µm (as in IXP43x parts) process and have a 32 kB data cache and a 32 kB instruction cache. First and second generation XScale cores also have a 2 kB mini-data cache. Products based on the 3rd generation XScale have up to 512 kB unified L2 cache.[2]

Contents

Processor families

The XScale core is used in a number of microcontroller families manufactured by Intel and Marvell, notably:

  • Application Processors (with the prefix PXA). There are four generations of XScale Application Processors, described below: PXA210/PXA25x, PXA26x, PXA27x, and PXA3xx.
  • I/O Processors (with the prefix IOP)
  • Network Processors (with the prefix IXP)
  • Control Plane Processors (with the prefix IXC).
  • Consumer Electronics Processors (with the prefix CE).

There are also standalone processors: the 80200 and 80219 (targeted primarily at PCI applications).

PXA

PXA210/PXA25x

The PXA210 was Intel's entry-level XScale targeted at mobile phone applications. It was released with the PXA250 in February 2002 and comes clocked at 133 MHz and 200 MHz.

The PXA25x family consists of the PXA250 and PXA255. The PXA250 was Intel's first generation of XScale processors. There was a choice of three clock speeds: 200 MHz, 300 MHz and 400 MHz. It came out in February 2002. In March 2003, the revision C0 of the PXA250 was renamed to PXA255. The main differences were a doubled internal bus speed (100 MHz to 200 MHz) for faster data transfer, lower core voltage (only 1.3 V at 400 MHz) for lower power consumption and writeback functionality for the data cache, the lack of which had severely impaired performance on the PXA250.

PXA26x

The PXA26x family consists of the PXA260 and PXA261-PXA263. The PXA260 is a stand-alone processor clocked at the same frequency as the PXA25x, but features a TPBGA package which is about 53% smaller than the PXA25x's PBGA package. The PXA261-PXA263 are the same as the PXA260 but have Intel StrataFlash memory stacked on top of the processor in the same package; 16 MB of 16-bit memory in the PXA261, 32 MB of 16-bit memory in the PXA262 and 32 MB of 32-bit memory in the PXA263. The PXA26x family was released in March 2003.

PXA27x

e-con Systems eSOM270 Marvell XScale PXA270 Computer on module

The PXA27x family (code-named Bulverde) consists of the PXA270 and PXA271-PXA272 processors. This revision is a huge update to the XScale family of processors. The PXA270 is clocked in four different speeds: 312 MHz, 416 MHz, 520 MHz and 624 MHz and is a stand-alone processor with no packaged memory. The PXA271 can be clocked to 13, 104, 208 MHz or 416 MHz and has 32 MB of 16-bit stacked StrataFlash memory and 32 MB of 16-bit SDRAM in the same package. The PXA272 can be clocked to 312 MHz, 416 MHz or 520 MHz and has 64 MB of 32-bit stacked StrataFlash memory.

Intel also added many new technologies to the PXA27x family such as:

  • Wireless SpeedStep: the operating system can clock the processor down based on load to save power.
  • Wireless MMX: 43 new SIMD instructions containing the full MMX instruction set and the integer instructions from Intel's SSE instruction set along with some instructions unique to the XScale. Wireless MMX provides 16 extra 64-bit registers that can be treated as an array of two 32-bit words, four 16-bit halfwords or eight 8-bit bytes. The XScale core can then perform up to eight adds or four MACs in parallel in a single cycle. This capability is used to boost speed in decoding and encoding of multimedia and in playing games.
  • Additional peripherals, such as a USB-Host interface and a camera interface.
  • Internal 256 kB SRAM to reduce power consumption and latency.

The PXA27x family was released in April 2004. Along with the PXA27x family Intel released the 2700G embedded graphics co-processor.

PXA3xx

Toradex Colibri XScale Monahans PXA290 SODIMM-module (Prototype Of Marvell PXA320 SODIMM-module)

In August 2005 Intel announced the successor to Bulverde, codenamed Monahans.

They demonstrated it showing its capability to play back high definition encoded video on a PDA screen.

The new processor was shown clocked at 1.25 GHz but Intel said it only offered a 25% increase in performance (800 MIPS for the 624 MHz PXA270 processor vs. 1000 MIPS for 1.25 GHz Monahans). An announced successor to the 2700G graphics processor, code named Stanwood, has since been canceled. Some of the features of Stanwood are integrated into Monahans. For extra graphics capabilities, Intel recommends third-party chips like the NVIDIA GoForce chip family.

In November 2006, Marvell Semiconductor officially introduced the Monahans family as Marvell PXA320, PXA300, and PXA310.[3] PXA320 is currently shipping in high volume, and is scalable up to 806 MHz. PXA300 and PXA310 deliver performance "scalable to 624 MHz", and are software-compatible with PXA320.

PXA16x

PXA168 System On Module by tianyeit.com

The pxa16x delivers strong performance at a mass market price point for cost sensitive consumer and embedded markets such as digital picture frames, E Readers, multifunction printer user interface (UI) displays, interactive VoIP phones, IP surveillance cameras, and home control gadgets..[4]

PXA90x

The PXA90x was released by Marvell and combines an XScale Core with a GSM/CDMA communication module.[5] The PXA90x is build using a 130 nm process[6]

PXA930/935

The PXA930 and PXA935 processor series were built using an architecture developed by Marvell,[7] instead of using an Xscale or ARM design. This design, called the Sheeva core,[8] is ARM-compliant. The Sheeva core is a so-called Tri-core architecture[8] codenamed Tavor; Tri-core means it supports the ARMv5TE, ARMv6 and ARMv7 instruction sets.[8][9] This new architecture was a significant leap from the old Xscale architecture. The PXA930 uses 65 nm technology[10] while the PXA935 is build using the 45 nm process.[9]

The PXA930 is used in the Blackberry Bold 9700.

PXA940

Little is known about the PXA940, it is known to be ARM Cortex-A8 compliant.[11] It is utilized in the Blackberry Torch 9800[12][13] and is built using 45 nm technology.

IXC

IXC1100

The IXC1100 processor features clock speeds at 266, 400, and 533 MHz, a 133 MHz bus, 32 kB of instruction cache, 32 kB of data cache, and 2 kB of mini-data cache. It is also designed for low power consumption, using 2.4 W at 533 MHz. The chip comes in the 35 mm PBGA package.

IOP

The IOP line of processors is designed to allow computers and storage devices to transfer data and increase performance by offloading I/O functionality from the main CPU of the device. The IOP3XX processors are based on the XScale architecture and designed to replace the older 80219 processor and i960 family of chips. There are ten different IOP processors currently available: IOP303, IOP310, IOP315, IOP321, IOP331, IOP332, IOP333, IOP341, IOP342 and IOP348. Clock speeds range from 100 MHz to 1.2 GHz. The processors also differ in PCI bus type, PCI bus speed, memory type, maximum memory allowable, and the number of processor cores.

IXP network processor

The XScale core is utilized in the second generation of Intel's IXP network processor line, while the first generation used StrongARM cores. The IXP network processor family ranges from solutions aimed at small/medium office network applications , IXP4XX, to high performance network processors such as the IXP2850, capable of sustaining up to OC-192 line rates. In IXP4XX devices the XScale core is used as both a control and data plane processor, providing both system control and data processing. The task of the XScale in the IXP2XXX devices is typically to provide control plane functionality only, with data processing performed by the microengines, examples of such control plane tasks include routing table updates, microengine control, memory management.

CE

In April 2007, Intel announced an XScale based processor targeting consumer electronics markets, the Intel CE 2110.[14]

Applications

XScale microprocessors can be found in products such as the popular RIM BlackBerry handheld, the Dell Axim family of Pocket PCs, most of the Zire, Treo and Tungsten Handheld lines by Palm, later versions of the Sharp Zaurus, the Motorola A780, the Acer n50, the Compaq iPaq 3900 series and many other PDAs. It is used as the main CPU in the Iyonix PC desktop computer running RISC OS, and the NSLU2 (Slug) running a form of Linux. The XScale is also used in devices such as PVPs (Portable Video Players), PMCs (Portable Media Centres), including the Creative Zen Portable Media Player and Amazon Kindle E-Book reader, and industrial embedded systems. At the other end of the market, the XScale IOP33x Storage I/O processors are used in some Intel Xeon-based server platforms.

Sale of PXA processor line

On June 27, 2006, the sale of Intel's XScale PXA mobile processor assets was announced. Intel agreed to sell the XScale PXA business to Marvell Technology Group for an estimated $600 million in cash and the assumption of unspecified liabilities. The move was intended to permit Intel to focus its resources on its core x86 and server businesses. Marvell holds a full Architecture License for ARM, allowing it to design chips to implement the ARM instruction set, not just license a processor core.[15]

The acquisition was completed on November 9, 2006. Intel was expected to continue manufacturing XScale processors until Marvell secures other manufacturing facilities, and would continue manufacturing and selling the IXP and IOP processors, as they were not part of the deal.[16]

The XScale effort at Intel was initiated by the purchase of the StrongARM division from Digital Equipment Corporation in 1998.[17] Intel still holds an ARM license even after the sale of XScale.[17]

See also

  • RedBoot open-source bootloader, the standard boot firmware shipped with XScale boards

References

External links


Wikimedia Foundation. 2010.

Игры ⚽ Поможем решить контрольную работу

Look at other dictionaries:

  • XScale — ist Intels Implementierung der fünften Generation der ARM Architektur basierend auf dem ARM v5TE Befehlssatz ohne Gleitkomma Befehle. Die XScale Prozessorfamilie gehört zur Gruppe der RISC Architekturen. Sie findet vor allem in PDAs, bei… …   Deutsch Wikipedia

  • Xscale — ist Intels Implementation der fünften Generation der ARM Architektur basierend auf dem ARM v5TE Befehlssatz ohne Gleitkomma Befehle. Die XScale Prozessorfamilie gehört zur Gruppe der RISC Architekturen. Sie findet vor allem in PDAs, bei portablen …   Deutsch Wikipedia

  • Xscale — er en processor fra Intel, der anvendes i lommecomputere, integrerede netværk, hospitalsudstyr og mobiltelefoner. Xscale 1 GHz …   Danske encyklopædi

  • XScale — Toradex Colibri en SO DIMM avec un XScale Monahans PXA320 XScale est un processeur à faible consommation de courant fabriqué par Intel. C est une architecture RISC 32 bits initialement développée par ARM à laquelle Intel a ajouté ses propres… …   Wikipédia en Français

  • Intel XScale — XScale микропроцессорное ядро, реализация Marvell (ранее Intel) пятого поколения ARM архитектуры, и состоит из нескольких семейств: IXP, IXC, IOP, PXA и CE. Семейство PXA было продано Marvell Technology Group в июне 2006. Содержание 1 Архитектура …   Википедия

  • Intel XScale — El Intel XScale es un núcleo de microprocesador, la implementación de Intel de la quinta generación de la arquitectura ARM. La tecnología ha sido vendida a Marvell Technology Group en junio de 2006. Está basado en el ISA v5TE sin las… …   Wikipedia Español

  • Treo — 300 Treo ist ursprünglich eine Produktfamilie von Smartphones auf Palm OS Basis der Firma Handspring. Nach der Fusion von Handspring mit Palm, Inc. zu palmOne erfolgt die Entwicklung unter der Regie von palmOne (jetzt wieder unter dem Namen Palm) …   Deutsch Wikipedia

  • Trēo — Treo 300 Treo ist ursprünglich eine Produktfamilie von Smartphones auf Palm OS Basis der Firma Handspring. Nach der Fusion von Handspring mit Palm, Inc. zu palmOne erfolgt die Entwicklung unter der Regie von palmOne (jetzt wieder unter dem Namen… …   Deutsch Wikipedia

  • Dell Axim — The Dell Axim family of personal digital assistants was Dell s line of Windows Mobile powered Pocket PC Devices. The first model, the Axim X5, was introduced in 2002, while the final model, the Axim X51, was discontinued on April 9, 2007.[1]… …   Wikipedia

  • Palm, Inc. — Palm, Inc. Год основания 1992 Тип Публичная компания Расположение Саннивейл, Калифорния (США) …   Википедия

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”