Microelectromechanical systems

Microelectromechanical systems

Microelectromechanical systems (MEMS) (also written as micro-electro-mechanical, MicroElectroMechanical or microelectronic and microelectromechanical systems) is the technology of very small mechanical devices driven by electricity; it merges at the nano-scale into nanoelectromechanical systems (NEMS) and nanotechnology. MEMS are also referred to as micromachines (in Japan), or micro systems technologyMST (in Europe).

MEMS are separate and distinct from the hypothetical vision of molecular nanotechnology or molecular electronics. MEMS are made up of components between 1 to 100 micrometres in size (i.e. 0.001 to 0.1 mm) and MEMS devices generally range in size from 20 micrometres (20 millionths of a metre) to a millimetre. They usually consist of a central unit that processes data, the microprocessor and several components that interact with the outside such as microsensors.[1] At these size scales, the standard constructs of classical physics are not always useful. Because of the large surface area to volume ratio of MEMS, surface effects such as electrostatics and wetting dominate volume effects such as inertia or thermal mass.

The potential of very small machines was appreciated before the technology existed that could make them—see, for example, Richard Feynman's famous 1959 lecture There's Plenty of Room at the Bottom. MEMS became practical once they could be fabricated using modified semiconductor device fabrication technologies, normally used to make electronics. These include molding and plating, wet etching (KOH, TMAH) and dry etching (RIE and DRIE), electro discharge machining (EDM), and other technologies capable of manufacturing small devices. An early example of a MEMS device is the resonistor – an electromechanical monolithic resonator.[2][3]

Contents

Materials for MEMS manufacturing

The fabrication of MEMS derived from the process technology in semiconductor device fabrication, i.e. the basic techniques are deposition of material layers, patterning by photolithography and etching to produce the required shapes.[4]

Silicon

Silicon is the material used to create most integrated circuits used in consumer electronics in the modern world. The economies of scale, ready availability of cheap high-quality materials and ability to incorporate electronic functionality make silicon attractive for a wide variety of MEMS applications. Silicon also has significant advantages engendered through its material properties. In single crystal form, silicon is an almost perfect Hookean material, meaning that when it is flexed there is virtually no hysteresis and hence almost no energy dissipation. As well as making for highly repeatable motion, this also makes silicon very reliable as it suffers very little fatigue and can have service lifetimes in the range of billions to trillions of cycles without breaking.

Polymers

Even though the electronics industry provides an economy of scale for the silicon industry, crystalline silicon is still a complex and relatively expensive material to produce. Polymers on the other hand can be produced in huge volumes, with a great variety of material characteristics. MEMS devices can be made from polymers by processes such as injection molding, embossing or stereolithography and are especially well suited to microfluidic applications such as disposable blood testing cartridges.

Metals

Metals can also be used to create MEMS elements. While metals do not have some of the advantages displayed by silicon in terms of mechanical properties, when used within their limitations, metals can exhibit very high degrees of reliability. Metals can be deposited by electroplating, evaporation, and sputtering processes. Commonly used metals include gold, nickel, aluminium, copper, chromium, titanium, tungsten, platinum, and silver.

Ceramics

The nitrides of silicon, aluminium and titanium as well as silicon carbide and other ceramics are increasingly applied in MEMS fabrication due to advantageous combinations of material properties. AlN crystallizes in the wurtzite structure and thus shows pyroelectric and piezoelectric properties enabling sensors, for instance, with sensitivity to normal and shear forces.[5] TiN, on the other hand, exhibits a high electrical conductivity and large elastic modulus allowing to realize electrostatic MEMS actuation schemes with ultrathin membranes.[6] Moreover, the high resistance of TiN against biocorrosion qualifies the material for applications in biogenic environments and in biosensors.

MEMS basic processes

This chart is not complete  :

Basic Process
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
Deposition Patterning
 
Etching

Deposition processes

One of the basic building blocks in MEMS processing is the ability to deposit thin films of material with a thickness anywhere between a few nanometres to about 100 micrometres.

Physical deposition

There are two types of physical deposition processes.They are as follows.

Physical vapor deposition (PVD)

Physical vapor deposition consists of a process in which a material is removed from a target, and deposited on a surface. Techniques to do this include the process of sputtering, in which an ion beam liberates atoms from a target, allowing them to move through the intervening space and deposit on the desired substrate, and Evaporation (deposition), in which a material is evaporated from a target using either heat (thermal evaporation) or an electron beam (e-beam evaporation) in a vacuum system.

Chemical deposition

Chemical deposition techniques include chemical vapor deposition ("CVD"), in which a stream of source gas reacts on the substrate to grow the material desired. This can be further divided into categories depending on the details of the technique, for example, LPCVD (Low Pressure chemical vapor deposition) and PECVD (Plasma Enhanced chemical vapor deposition).

Oxide films can also be grown by the technique of thermal oxidation, in which the (typically silicon) wafer is exposed to oxygen and/or steam, to grow a thin surface layer of silicon dioxide.

Patterning

Patterning in MEMS is the transfer of a pattern into a material.

Lithography

Lithography in MEMS context is typically the transfer of a pattern into a photosensitive material by selective exposure to a radiation source such as light. A photosensitive material is a material that experiences a change in its physical properties when exposed to a radiation source. If a photosensitive material is selectively exposed to radiation (e.g. by masking some of the radiation) the pattern of the radiation on the material is transferred to the material exposed, as the properties of the exposed and unexposed regions differs.

This exposed region can then be removed or treated providing a mask for the underlying substrate. Photolithography is typically used with metal or other thin film deposition, wet and dry etching.

Photolithography

KrF ArF Immersion EUV

Electron beam lithography

Electron beam lithography (often abbreviated as e-beam lithography) is the practice of scanning a beam of electrons in a patterned fashion across a surface covered with a film (called the resist),[7] ("exposing" the resist) and of selectively removing either exposed or non-exposed regions of the resist ("developing"). The purpose, as with photolithography, is to create very small structures in the resist that can subsequently be transferred to the substrate material, often by etching. It was developed for manufacturing integrated circuits, and is also used for creating nanotechnology architectures.

The primary advantage of electron beam lithography is that it is one of the ways to beat the diffraction limit of light and make features in the nanometer regime. This form of maskless lithography has found wide usage in photomask-making used in photolithography, low-volume production of semiconductor components, and research & development.

The key limitation of electron beam lithography is throughput, i.e., the very long time it takes to expose an entire silicon wafer or glass substrate. A long exposure time leaves the user vulnerable to beam drift or instability which may occur during the exposure. Also, the turn-around time for reworking or re-design is lengthened unnecessarily if the pattern is not being changed the second time.

Ion beam lithography

It is known that focused-ion-beam lithography has the capability of writing extremely fine lines (less than 50 nm line and space has been achieved) without proximity effect. However, because the writing field in ion-beam lithography is quite small, large area patterns must be created by stitching together the small fields.

X-ray lithography

X-ray lithography, is a process used in electronic industry to selectively remove parts of a thin film. It uses X-rays to transfer a geometric pattern from a mask to a light-sensitive chemical photoresist, or simply "resist," on the substrate. A series of chemical treatments then engraves the produced pattern into the material underneath the photoresist.

Diamond patterning

Etching processes

There are two basic categories of etching processes: wet etching and dry etching. In the former, the material is dissolved when immersed in a chemical solution. In the latter, the material is sputtered or dissolved using reactive ions or a vapor phase etchant.[8][9] for a somewhat dated overview of MEMS etching technologies.

Wet etching

Wet chemical etching consists in selective removal of material by dipping a substrate into a solution that dissolves it. The chemical nature of this etching process provides a good selectivity, which means the etching rate of the target material is considerably higher than the mask material if selected carefully.

Isotropic etching

Etching progresses at the same speed in all directions. Long and narrow holes in a mask will produce v-shaped grooves in the silicon. The surface of these grooves can be atomically smooth if the etch is carried out correctly, with dimensions and angles being extremely accurate.

Anisotropic etching

Some single crystal materials, such as silicon, will have different etching rates depending on the crystallographic orientation of the substrate. This is known as anisotropic etching and one of the most common examples is the etching of silicon in KOH (potassium hydroxide), where Si <111> planes etch approximately 100 times slower than other planes (crystallographic orientations). Therefore, etching a rectangular hole in a (100)-Si wafer results in a pyramid shaped etch pit with 54.7° walls, instead of a hole with curved sidewalls as with isotropic etching.

HF etching

Hydrofluoric acid is commonly used as an aqueous etchant for silicon dioxide (SiO2, also known as BOX for SOI), usually in 49% concentrated form, 5:1, 10:1 or 20:1 BOE (buffered oxide etchant) or BHF (Buffered HF). They were first used in medieval times for glass etching. It was used in IC fabrication for patterning the gate oxide until the process step was replaced by RIE.

Hydrofluoric acid is considered one of the more dangerous acids in the cleanroom. It penetrates the skin upon contact and it diffuses straight to the bone. Therefore the damage is not felt until it is too late.

Electrochemical etching

Electrochemical etching (ECE) for dopant-selective removal of silicon is a common method to automate and to selectively control etching. An active p-n diode junction is required, and either type of dopant can be the etch-resistant ("etch-stop") material. Boron is the most common etch-stop dopant. In combination with wet anisotropic etching as described above, ECE has been used successfully for controlling silicon diaphragm thickness in commercial piezoresistive silicon pressure sensors. Selectively doped regions can be created either by implantation, diffusion, or epitaxial deposition of silicon.

Dry etching

Vapor etching
Xenon difluoride etching

Xenon difluoride (XeF2) is a dry vapor phase isotropic etch for silicon originally applied for MEMS in 1995 at University of California, Los Angeles.[10][11] Primarily used for releasing metal and dielectric structures by undercutting silicon, XeF2 has the advantage of a stiction-free release unlike wet etchants. Its etch selectivity to silicon is very high, allowing it to work with photoresist, SiO2, silicon nitride, and various metals for masking. Its reaction to silicon is "plasmaless", is purely chemical and spontaneous and is often operated in pulsed mode. Models of the etching action are available,[12] and university laboratories and various commercial tools offer solutions using this approach.

Plasma etching
Sputtering
Reactive ion etching (RIE)

In reactive ion etching (RIE), the substrate is placed inside a reactor, and several gases are introduced. A plasma is struck in the gas mixture using an RF power source, which breaks the gas molecules into ions. The ions accelerate towards, and react with, the surface of the material being etched, forming another gaseous material. This is known as the chemical part of reactive ion etching. There is also a physical part, which is similar to the sputtering deposition process. If the ions have high enough energy, they can knock atoms out of the material to be etched without a chemical reaction. It is a very complex task to develop dry etch processes that balance chemical and physical etching, since there are many parameters to adjust. By changing the balance it is possible to influence the anisotropy of the etching, since the chemical part is isotropic and the physical part highly anisotropic the combination can form sidewalls that have shapes from rounded to vertical. RIE can be deep (Deep RIE or deep reactive ion etching (DRIE)).

Deep RIE (DRIE) is a special subclass of RIE that is growing in popularity. In this process, etch depths of hundreds of micrometres are achieved with almost vertical sidewalls. The primary technology is based on the so-called "Bosch process",[13] named after the German company Robert Bosch, which filed the original patent, where two different gas compositions alternate in the reactor. Currently there are two variations of the DRIE. The first variation consists of three distinct steps (the Bosch Process as used in the Plasma-Therm tool) while the second variation only consists of two steps (ASE used in the STS tool). In the 1st Variation, the etch cycle is as follows: (i) SF6 isotropic etch; (ii) C4F8 passivation; (iii) SF6 anisoptropic etch for floor cleaning. In the 2nd variation, steps (i) and (iii) are combined.

Both variations operate similarly. The C4F8 creates a polymer on the surface of the substrate, and the second gas composition (SF6 and O2) etches the substrate. The polymer is immediately sputtered away by the physical part of the etching, but only on the horizontal surfaces and not the sidewalls. Since the polymer only dissolves very slowly in the chemical part of the etching, it builds up on the sidewalls and protects them from etching. As a result, etching aspect ratios of 50 to 1 can be achieved. The process can easily be used to etch completely through a silicon substrate, and etch rates are 3–6 times higher than wet etching.

Die preparation

After preparing a large number of MEMS devices on a silicon wafer, individual dies have to be separated, which is called die preparation in semiconductor technology. For some applications, the separation is preceded by wafer backgrinding in order to reduce the wafer thickness. Wafer dicing may then be performed either by sawing using a cooling liquid or a dry laser process called stealth dicing.

MEMS manufacturing technologies

Bulk micromachining

Bulk micromachining is the oldest paradigm of silicon based MEMS. The whole thickness of a silicon wafer is used for building the micro-mechanical structures.[9] Silicon is machined using various etching processes. Anodic bonding of glass plates or additional silicon wafers is used for adding features in the third dimension and for hermetic encapsulation. Bulk micromachining has been essential in enabling high performance pressure sensors and accelerometers that have changed the shape of the sensor industry in the 80's and 90's.

Surface micromachining

Surface micromachining uses layers deposited on the surface of a substrate as the structural materials, rather than using the substrate itself.[14] Surface micromachining was created in the late 1980s to render micromachining of silicon more compatible with planar integrated circuit technology, with the goal of combining MEMS and integrated circuits on the same silicon wafer. The original surface micromachining concept was based on thin polycrystalline silicon layers patterned as movable mechanical structures and released by sacrificial etching of the underlying oxide layer. Interdigital comb electrodes were used to produce in-plane forces and to detect in-plane movement capacitively. This MEMS paradigm has enabled the manufacturing of low cost accelerometers for e.g. automotive air-bag systems and other applications where low performance and/or high g-ranges are sufficient. Analog Devices have pioneered the industrialization of surface micromachining and have realized the co-integration of MEMS and integrated circuits.

High aspect ratio (HAR) silicon micromachining

Both bulk and surface silicon micromachining are used in the industrial production of sensors, ink-jet nozzles, and other devices. But in many cases the distinction between these two has diminished. A new etching technology, deep reactive-ion etching, has made it possible to combine good performance typical of bulk micromachining with comb structures and in-plane operation typical of surface micromachining. While it is common in surface micromachining to have structural layer thickness in the range of 2 µm, in HAR silicon micromachining the thickness can be from 10 to 100 µm. The materials commonly used in HAR silicon micromachining are thick polycrystalline silicon, known as epi-poly, and bonded silicon-on-insulator (SOI) wafers although processes for bulk silicon wafer also have been created (SCREAM). Bonding a second wafer by glass frit bonding, anodic bonding or alloy bonding is used to protect the MEMS structures. Integrated circuits are typically not combined with HAR silicon micromachining. The consensus of the industry at the moment seems to be that the flexibility and reduced process complexity obtained by having the two functions separated far outweighs the small penalty in packaging. A comparison of different high-aspect-ratio microstructure technologies can be found in the HARMST article.

A forgotten history regarding surface micromachining revolved around the choice of polysilicon A or B. Fine grained (<300A grain size, US4897360), post strain annealed pure polysilicon was advocated by Prof Henry Guckel (U. Wisconsin); while a larger grain, doped stress controlled polysilicon was advocated by the UC Berkeley group.

Applications

microelectromechanical systems chip, sometimes called "lab on a chip"

In one viewpoint MEMS application is categorized by type of use.

In another view point MEMS applications are categorized by the field of application (commercial applications include):

  • Inkjet printers, which use piezoelectrics or thermal bubble ejection to deposit ink on paper.
  • Accelerometers in modern cars for a large number of purposes including airbag deployment in collisions.
  • Accelerometers in consumer electronics devices such as game controllers (Nintendo Wii), personal media players / cell phones (Apple iPhone, various Nokia mobile phone models, various HTC PDA models)[15] and a number of Digital Cameras (various Canon Digital IXUS models). Also used in PCs to park the hard disk head when free-fall is detected, to prevent damage and data loss.
  • MEMS gyroscopes used in modern cars and other applications to detect yaw; e.g., to deploy a roll over bar or trigger dynamic stability control[16]
  • MEMS microphones in portable devices, e.g., mobile phones, head sets and laptops.
  • Silicon pressure sensors e.g., car tire pressure sensors, and disposable blood pressure sensors
  • Displays e.g., the DMD chip in a projector based on DLP technology, which has a surface with several hundred thousand micromirrors
  • Optical switching technology, which is used for switching technology and alignment for data communications
  • Bio-MEMS applications in medical and health related technologies from Lab-On-Chip to MicroTotalAnalysis (biosensor, chemosensor)
  • Interferometric modulator display (IMOD) applications in consumer electronics (primarily displays for mobile devices), used to create interferometric modulation − reflective display technology as found in mirasol displays
  • Fluid acceleration such as for micro-cooling

Companies with strong MEMS programs come in many sizes. The larger firms specialize in manufacturing high volume inexpensive components or packaged solutions for end markets such as automobiles, biomedical, and electronics. The successful small firms provide value in innovative solutions and absorb the expense of custom fabrication with high sales margins. In addition, both large and small companies work in R&D to explore MEMS technology.

Industry structure

The global market for micro-electromechanical systems, which includes products such as automobile airbag systems, display systems and inkjet cartridges totaled $40 billion in 2006 according to Global MEMS/Microsystems Markets and Opportunities, a research report from SEMI and Yole Developpement and is forecasted to reach $72 billion by 2011.[17]

MEMS devices are defined as die-level components of first-level packaging, and include pressure sensors, accelerometers, gyroscopes, microphones, digital mirror displays, micro fluidic devices, etc. The materials and equipment used to manufacture MEMS devices topped $1 billion worldwide in 2006. Materials demand is driven by substrates, making up over 70 percent of the market, packaging coatings and increasing use of chemical mechanical planarization (CMP). While MEMS manufacturing continues to be dominated by used semiconductor equipment, there is a migration to 200 mm lines and select new tools, including etch and bonding for certain MEMS applications.

See also

References

  1. ^ Waldner, Jean-Baptiste (2008). Nanocomputers and Swarm Intelligence. London: ISTE John Wiley & Sons. p. 205. ISBN 1848210094. 
  2. ^ Electromechanical monolithic resonator, US patent 3614677, Filed April 29, 1966; Issued October 1971
  3. ^ R.J. Wilfinger, P. H. Bardell and D. S. Chhabra: The resonistor a frequency selective device utilizing the mechanical resonance of a substrate, IBM J. 12, 113–118 (1968)
  4. ^ R. Ghodssi, P. Lin (2011). MEMS Materials and Processes Handbook. Berlin: Springer. ISBN 978-0-387-47316-1. 
  5. ^ T. Polster, M. Hoffmann (2009). "Aluminium nitride based 3D, piezoelectric, tactile sensors". Proc. Chem. 1: 144–147. doi:10.1016/j.proche.2009.07.036. 
  6. ^ M. Birkholz, K.-E. Ehwald, P. Kulse, J. Drews, M. Fröhlich, U. Haak, M. Kaynak, E. Matthus, K. Schulz, D. Wolansky (2011). "Ultrathin TiN Membranes as a Technology Platform for CMOS-Integrated MEMS and BioMEMS Devices". Adv. Func. Mat. 21: 1652–1656. doi:10.1002/adfm.201002062. http://onlinelibrary.wiley.com/doi/10.1002/adfm.201002062/pdf. 
  7. ^ McCord, M. A.; M. J. Rooks (2000). "2". SPIE Handbook of Microlithography, Micromachining and Microfabrication. http://www.cnf.cornell.edu/cnf_spietoc.html. 
  8. ^ Williams, K.R.; Muller, R.S. (1996). "Etch rates for micromachining processing". Journal of Microelectromechanical Systems 5 (4): 256. doi:10.1109/84.546406. 
  9. ^ a b Kovacs, G.T.A.; Maluf, N.I.; Petersen, K.E. (1998). "Bulk micromachining of silicon". Proceedings of the IEEE 86 (8): 1536. doi:10.1109/5.704259. 
  10. ^ Chang, Floy I. (1995). Gas-phase silicon micromachining with xenon difluoride. 2641. pp. 117. doi:10.1117/12.220933. 
  11. ^ Chang, Floy I-Jung. 1995. Xenon difluoride etching of silicon for MEMS. Thesis (M.S.) University of California, Los Angeles, 1995.
  12. ^ Brazzle, J.D.; Dokmeci, M.R.; Mastrangelo, C.H. (2004). Modeling and characterization of sacrificial polysilicon etching using vapor-phase xenon difluoride. pp. 737. doi:10.1109/MEMS.2004.1290690. 
  13. ^ Laermer, F.; Urban, A. (2005). Milestones in deep reactive ion etching. 2. pp. 1118. doi:10.1109/SENSOR.2005.1497272. 
  14. ^ J. M. Bustillo, R. T. Howe, and R. S. Muller, "Surface micromachining for microelectromechanical systems" Proceedings of the IEEE, vol. 86, pp. 1552−1574, 1998.
  15. ^ Johnson, R. Collin. There's more to MEMS than meets the iPhone, EE Times, (2007-07-09). Retrieved 2007-07-10.
  16. ^ Cenk Acar, Andrei M. Shkel (2008). MEMS Vibratory Gyroscopes: Structural Approaches to Improve Robustness. pp. 111 ff. ISBN 0387095357. http://books.google.com/books?id=WgFPvZyApd0C&pg=PA111. 
  17. ^ Worldwide MEMS Systems Market Forecasted to Reach $72 Billion by 2011

External links


Wikimedia Foundation. 2010.

Игры ⚽ Нужно сделать НИР?

Look at other dictionaries:

  • Integrated MicroElectroMechanical Systems —  Integrated MicroElectroMechanical Systems  (IMEMS)  Интегральные микроэлектромеханические системы …   Толковый англо-русский словарь по нанотехнологии. - М.

  • Microelectromechanical system oscillator — Microelectromechanical system (MEMS) oscillators are timing devices that generate highly stable reference frequencies. These reference frequencies are used to sequence electronic systems, manage data transfer, define radio frequencies, and… …   Wikipedia

  • microelectromechanical system —       mechanical parts and electronic circuits combined to form miniature devices, typically on a semiconductor chip, with dimensions from tens of micrometres to a few hundred micrometres (millionths of a metre). Common applications for MEMS… …   Universalium

  • Micro-opto-mechanical systems — (MOMS) are a special class of Micro Electro Mechanical Systems (MEMS) which use optical and mechanical, but not electrical components. Compare Microelectromechanical Systems (MEMS) Micro Opto Electromechanical Systems (MOEMS)… …   Wikipedia

  • Nanoelectromechanical systems — or NEMS are similar to Microelectromechanical systems (MEMS) but smaller. They hold promise to improve abilities to measure small displacements and forces at a molecular scale, and are related to nanotechnology and nanomechanics.There are two… …   Wikipedia

  • Micro electro mechanical systems — Microsystème électromécanique Engrenages MEMS. La barre blanche donne l échelle : 0,5 mm Un microsystème électromécanique est un microsystème comprenant un ou plusieurs éléments mécaniques, utilisant l électricité comme source d énergie, en… …   Wikipédia en Français

  • MEMS — Microelectromechanical Systems (Medical » Human Genome) ** Micro Electro Mechanical System (Academic & Science » Electronics) ** Micro Electro Mechanical System (Academic & Science » Meteorology) ** Micro Electro Mechanical System (Governmental » …   Abbreviations dictionary

  • MEPSI — Microelectromechanical Systems Based Pico satellite Inspector Contributor: CASI …   NASA Acronyms

  • Mechanical filter — Figure 1. A mechanical filter made by the Kokusai Electric Company intended for selecting the narrow 2 kHz bandwidth signals in SSB radio receivers. It operates at 455 kHz, a common IF for these receivers, and is dimensioned 45×15×15 mm ( …   Wikipedia

  • nanotechnology — /nan euh tek nol euh jee, nay neuh /, n. any technology on the scale of nanometers. [1987] * * * Manipulation of atoms, molecules, and materials to form structures on the scale of nanometres (billionths of a metre). These nanostructures typically …   Universalium

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”