Intel Atom

Intel Atom
Intel Atom
Atom Z520 vs 1Cent.JPG
Intel Atom Z520 compared to a 1 Eurocent coin. It is 182 mm2.[1]
Produced 2008–present
Common manufacturer(s)
  • Intel
Max. CPU clock rate 600 MHz to 2.13 GHz
FSB speeds 400 MHz to 667 MHz
Min. feature size 45 nm
Instruction set MMX, SSE, SSE2, SSE3, SSSE3, x86, x86-64 (not for the N2xx and Z5xx series)
Cores 1, 2
Package(s)
Core name(s)
  • Silverthorne
  • Diamondville
  • Pineview
  • Lincroft

Intel Atom is the brand name for a line of ultra-low-voltage x86 and x86-64 CPUs (or microprocessors) from Intel, designed in 45 nm CMOS and used mainly in netbooks, nettops, embedded application ranging from health care to advanced robotics and Mobile Internet devices (MIDs). On December 21, 2009, Intel announced the next generation of Atom processors, including the N450, with total kit power consumption down 20%.[2] Intel Atom processors are based on the Bonnell microarchitecture.[3][4]

Contents

History

Intel Atom is a direct successor of the Intel A100 and A110 low-power microprocessors (code-named Stealey), which were built on a 90 nm process, had 512 KB L2 cache and ran at 600 MHz/800 MHz with 3W TDP (Thermal Design Power). Prior to the Silverthorne announcement, outside sources had speculated that Atom would compete with AMD's Geode system-on-a-chip processors, used by the One Laptop per Child (OLPC) project, and other cost and power sensitive applications for x86 processors. However, Intel revealed on October 15, 2007 that it was developing another new mobile processor, codenamed Diamondville, for OLPC-type devices.[5]

"Atom" was the name under which Silverthorne would be sold, while the supporting chipset formerly code-named Menlow was called Centrino Atom.[6]

At Spring Intel Developer Forum (IDF) 2008 in Shanghai, Intel officially announced that Silverthorne and Diamondville are based on the same microarchitecture. Silverthorne would be called the Atom Z5xx series and Diamondville would be called the Atom N2xx series. The more expensive lower-power Silverthorne parts will be used in Intel Mobile Internet Devices (MIDs) whereas Diamondville will be used in low-cost desktop and notebooks. Several Mini-ITX motherboard samples have also been revealed.[7] Intel and Lenovo also jointly announced an Atom powered MID called the IdeaPad U8.[8] The IdeaPad U8 weighs 280 g and has a 4.8 in (12 cm) touchscreen providing better portability than a netbook PC and easier Internet viewing than a mobile phone or PDA.

In April 2008, a MID development kit was announced by Sophia Systems[9] and the first board called CoreExpress-ECO was revealed by a German company LiPPERT Embedded Computers, GmbH.[10] Intel offers Atom based motherboards.[11][12]

Intel Atom processor family[13]
MID / Ultra-Mobile PC / Lifestyle PC
Logo Code-name Series Core On-Die GPU GPU Speed TDP HT Intel 64 Intel VT-x Release date
Intel Atom logo 2008 Silverthorne Atom Z5xx single (45 nm) No n/a 0.65~2 W No No No April 2008
2~2.4 W Yes Yes
Intel Atom logo 2009 Lincroft Atom Z6xx Yes 400 MHz 1.3~3 W May 2010
Classmate PC / Netbook / Nettop / Lifestyle PC
Logo Code-name Series Core On-Die GPU GPU Speed TDP HT Intel 64 Intel VT-x Release date
Intel Atom logo 2008 Diamondville Atom N2xx single (45 nm) No n/a 2.5 W Yes No No June 2008
Atom 2xx 4 W Yes
Atom 3xx dual (45 nm) 8 W September 2008
Intel Atom logo 2009 Pineview Atom N4xx single (45 nm) Yes 200 MHz 6.5 W January 2010
Atom D4xx 400 MHz 10 W
Atom N5xx dual (45 nm) 200 MHz 8.5 W
Atom D5xx 400 MHz 13 W
CedarTrail Atom D2xxx dual (32 nm) 640 MHz 10 W November 2011
List of Intel Atom microprocessors

Availability

Atom processors became available to system manufacturers in 2008. Because they are soldered, like northbridges and southbridges, onto a mainboard, Atom processors are not available to home users or system builders as separate processors, although they may be obtained preinstalled on some ITX motherboards. The Diamondville and Pineview[14] Atom is used in the HP Mini Series, aigo MID Asus N10, Lenovo IdeaPad S10, Acer Aspire One & Packard Bell's "dot" (ZG5), recent ASUS Eee PC systems, Sony VAIO M-series, AMtek Elego, Dell Inspiron Mini Series, Gigabyte M912, LG X Series, Samsung NC10, Sylvania g Netbook Meso, Toshiba NB series (100, 200, 205, 255, 300, 500), MSI Wind PC netbooks, RedFox Wizbook 1020i, Sony Vaio X Series, Zenith Z-Book, a range of Aleutia desktops, and the Archos 10.

Performance

The performance of a single core Atom is about half that of a Pentium M of the same clock rate. For example, the Atom N270 (1.60 GHz) found in many netbooks such as the Eee PC can deliver around 3300 MIPS and 2.1 GFLOPS in standard benchmarks,[15] compared to 7400 MIPS and 3.9 GFLOPS for the similarly clocked (1.73 GHz) Pentium M 740.[16]

The Pineview platform has proven to be only slightly faster than the previous Diamondville platform. This is because the Pineview platform uses the same Bonnell execution core as Diamondville and is connected to the memory controller via the FSB, hence memory latency and performance in CPU-intensive applications are minimally improved.[17]

Instruction set architecture

Atom implements the x86 (IA-32) instruction set; x86-64 is so far only activated for the desktop Diamondville and desktop and mobile Pineview cores. The Atom N2xx and Z5xx series Atom models cannot run x86-64 code.[18]

Bonnell microarchitecture

List of Intel CPU microarchitectures
Microarchitecture Pipeline stages
P5 (Pentium) 5
P6 (Pentium Pro) 14
P6 (Pentium 3) 10
NetBurst (Willamette) 20
NetBurst (Northwood) 20
NetBurst (Prescott) 31
NetBurst (Cedar Mill) 31
Core 14
Bonnell 16

Intel Atom processors are based on the Bonnell microarchitecture[3][4] which can execute up to two instructions per cycle. Like many other x86 microprocessors, it translates x86-instructions (CISC instructions) into simpler internal operations (sometimes referred to as micro-ops, i.e., effectively RISC style instructions) prior to execution. The majority of instructions produce one micro-op when translated, with around 4% of instructions used in typical programs producing multiple micro-ops. The number of instructions that produce more than one micro-op is significantly fewer than the P6 and NetBurst microarchitectures. In the Bonnell microarchitecture, internal micro-ops can contain both a memory load and a memory store in connection with an ALU operation, thus being more similar to the x86 level and more powerful than the micro-ops used in previous designs.[19] This enables relatively good performance with only two integer ALUs, and without any instruction reordering, speculative execution, or register renaming. The Bonnell microarchitecture therefore represents a partial revival of the principles used in earlier Intel designs such as P5 and the i486, with the sole purpose of enhancing the performance per watt ratio. However, Hyper-Threading is implemented in an easy (i.e., low power) way to employ both pipelines efficiently by avoiding the typical single thread dependencies.[19]

First generation cores

Silverthorne microprocessor

On March 2, 2008, Intel announced a new single-core Atom Z5xx series processor (code-named Silverthorne) to be used in ultra-mobile PCs/mobile Internet devices (MIDs) which will supersede Stealey (A100 and A110). The processor is a 47 million transistor, 25 mm2, sub-3 W IA processor which allows ~2500 chips to fit on a single 300 mm diameter wafer, allowing for extremely economical production.

An Atom Z500 processor's dual-thread performance is equivalent to its predecessor Stealey, but should outperform it on applications that can use simultaneous multithreading and SSE3.[20] They run from 0.8 to 2.0 GHz and have between 0.65 and 2.4 W TDP rating respectively that can dip down to 0.01 W[21] when idle. It features a 2-issue simultaneous multithreading, 16 stage in-order pipeline with 32 KB instruction L1 and 24 KB data L1 caches, integer and floating point execution units, x86 front end, a 512 KB L2 cache and data transferred at 533 MHz on the front-side bus. The design is manufactured in 9M 45 nm high-k metal-gate CMOS and housed in a 441-ball µFCBGA package.[22][23]

Diamondville microprocessor

The Intel Atom N270

On March 2, 2008, Intel announced lower-power variants of the Diamondville CPU named Atom N2xx. It was intended for use in nettops, and the Classmate PC netbook.[24][25][26] Like their predecessors, these are single-core CPUs with Hyper-Threading.

The N270 has a TDP of 2.5 W, runs at 1.6 GHz[27] and has a 533 MHz FSB. The N280 has a 1.66 GHz clock speed and a 667 MHz FSB.[28]

On September 22, 2008, Intel announced a new dual-core processor (unofficially code-named Dual Diamondville) branded Atom 330, to be used in desktop computers. It runs at 1.6 GHz and has a FSB running at 533 MHz. The processor has an 8 W TDP rating. Its dual core consists of two Diamondville dies on a single substrate. Atom 330 supports 64 bit instructions.

During 2009, Nvidia used the Atom 300 and their GeForce 9400M chipset on a mini-ITX form factor motherboard for their Ion platform.

First Generation Power requirements

The relatively low power Atom CPU was originally used with a cheaper, not so electricity-efficient chipset such as the Intel 945G

Although the Atom processor itself is relatively low power for an x86 microprocessor, many chipsets commonly used with it dissipate significantly more power. For example, while the N270 CPU commonly used in netbooks through mid 2010 has a net maximum TDP of 2.5 W, an Intel Atom platform that uses the 945GSE Express chipset has a specified maximum TDP of 11.8 W, with the processor using a relatively small portion of the total power consumed. Individual figures are 2.5 W for the N270 processor, 6 W for the 945GSE chipset and 3.3 W for the 82801GBM I/O controller.[29][30][31][32] Intel also provides a US15W System Controller Hub based chipset with a combined TDP of less than 5 W together with the Atom processor Z5xx (Silverthorne) series, to be used in ultra-mobile PCs/Mobile Internet Devices (MIDs),[33] though some manufacturers have released premium ultra thin system running on these line of CPU (e.g. Sony VAIO X).

Initially, all Atom motherboards on the consumer market featured the Intel 945GC chipset, which uses 22 watts alone. As of early 2009, only a few manufacturers are offering lower power 945GSE-based motherboards to end users, paired with the Atom N270 or N280 CPU, while Sony VAIO pioneers the use of the low power US15W chipset with Z5xx series processors.

Second generation cores

Pineview microprocessor

On December 21, 2009, Intel announced the N450, D510 and D410 with integrated graphics.[2] Total kit power goes from 11.8 W to 7 W with the new processor and chipset, a 40% improvement.[34][35] Intel GMA 3150, a 45 nm shrink of the GMA 3100 with no HD capabilities, is included as the on-die GPU. Netbooks using this new processor were expected to be publicly shown at CES on January 4, 2010, and to be released for sale on January 11, 2010.[36][37] The major new feature includes longer battery life, with 6 cell systems reporting 10 or more hours of battery life.[38][39][40]

The current generation of the Atom is codenamed "Pineview" which is used in the "Pine Trail" platform. Intel's current netbook platform (codenamed Pine Trail-M) utilizes an Atom processor (codenamed Pineview-M) and Platform Controller Hub (codenamed Tiger Point). The graphics and memory controller have moved into the processor, which is paired with the Tiger Point PCH. This creates a more power efficient 2-chip platform rather than the 3-chip one used with previous-generation Atom chipsets.[41]

On 1 March 2010 Intel officially announced the introduction of the N470 processor,[42][43] running at 1.83 GHz with a 667 MHz FSB and a Max TDP of 6.5 W.[18]

The new Atom N4xx chips became available on 11 January 2010.[44] It is used in netbook/nettop systems, and features an integrated single-channel DDR2 memory controller and an integrated graphics core. It features hyper-threading and is still manufactured on a 45 nm[45] process. The new design uses half the power of the older "Menlow" platform. This reduced overall power consumption and size makes the platform more desirable for use in smartphones and other mobile internet devices.

On December 23, 2009, MSI introduced a new series of netbooks to be released in January 2010[dated info], the Wind U130 and U135, that were among the first netbooks to incorporate the new "Pine Trail" platform. ASUS and Dell have since introduced netbooks based on the Pineview-M chip N4xx.

The D4xx and D5xx series support the x86-64 bit instruction set and DDR2-800. It is rated for embedded use. The series has an integrated graphics processor built directly into the CPU to help improve performance. The models are targeted at nettops and low end desktops. They do not support speedstep.

The Atom D510 processor features dual core running at 1.66 GHz, with 1 MB of L2 cache.[46] The Atom D410 processor features a single core running at 1.66 GHz, with 512 KB of L2 cache.[47] Power requirements are rated at 13 watts[46] for the D510 and 10 watts[47] for the D410.

Tunnel Creek microprocessor

Tunnel Creek is an embedded Atom processor.

Lincroft microprocessor

The Lincroft CPU (Z6xx) with the Whitney Point chipset is included in the Oak Trail platform. Oak Trail is a system-on-a-chip (SoC) just like Moorestown, which is aimed at smartphones and tablets. Unlike Moorestown, however, Oak Trail includes a PCI bus and will be able to run Windows. Oak Trail was presented on April 11, 2011 and will be released in May 2011.[dated info][48] The Z670 processor, part of the "Oak Trail" platform, delivers improved video playback, faster Internet browsing and longer battery life, "without sacrificing performance" according to Intel. "Oak Trail" includes support for 1080p video decode, as well as HDMI. The platform also has improvements in power-efficient performance and allows applications to run on various operating systems, including Google Android, MeeGo and Windows.


Stellarton microprocessor

Stellarton is a Tunnel Creek CPU with an Altera Field Programmable Gate Array (FPGA).

Sodaville microprocessor

Sodaville is a consumer electronics Atom processor.

Third generation cores

Cedar Trail-M microprocessor

Cedar Trail-M release was delayed from September to November 2011 because the platform has encountered some graphics driver issues and has not yet passed certification for Windows 7.

The Cedar Trail-M platform will include two new CPUs, 32nm-based Atom N2800 (1.86GHz) and N2600 (1.6GHz), priced at US$47 and US$42, and will replace the existing Atom N475 and N455. The CPUs will also feature an integrated GPU that supports DirectX 10.1 technology. The platform will also adopt the existing NM10 chipsets for southbridge capability

In addition to the netbook platform, Intel's new CPUs, Atom D2700 (US$52) and D2500 (US$42), for nettops was released 25 September 2011.[49]

Silvermont microarchitecture

Future

Intel will release their next-generation "Cedar Trail" platform based on 32 nm process technology in the fourth quarter of 2011.[48] Intel states that it "will feature improvements in graphics capabilities including Blu-ray 2.0 support, a dedicated media engine for full 1080p playback and additional digital display options including HDMI output and DisplayPort. New features will include Intel® Wireless Music, Intel® Wireless Display, PC Synch and Fast Boot. In addition, the enhancements made in power consumption and TDP will enable fanless designs with longer battery life."

CPU-releases

According to Intel spokeswoman Suzy Ramirez, speaking to CNET in June 2011[50], Intel has the following release plans:

  • 2012 (first half): Medfield - 32 nm. Unlike todays Intel Atom-CPUs it will be a SoC - System on Chip.
  • 2012 (second half): Clover Trail - 32 nm.
  • 2013 : Silvermont - 22 nm.
  • 2014 : Airmont (codename) - 14 nm.

Collaborations

With TSMC

In March 2009, Intel announced that it would be collaborating with TSMC for the production of the Atom processors.[51] The deal was put on hold due to lack of demand in 2010.

With Google

On September 13, 2011 Intel and Google have a joint announcement that a partnership to enable the world's largest chip maker's architecture on the search giant's products.[52]

Competition

The direct competitor of the Intel Atom is the AMD Fusion C- and E-series. The C-series (C-30: single core, C-50: dual cores) has a TDP (9W) similar to the Atom, the higher clocked E-series (E-240: single core, E-350: dual core) has a TDP of 18 Watts that corresponds more to an Atom including a dedicated GPU (e.g. ION2, ATI 5430). The processors include a single or dual core 64-bit CPU with out-of-order execution, DDR3 memory controller and an 80-core Radeon GPU. The performance of the E-series is significantly better than an Intel Atom - up to 326.5% better game performance.[53]

Embedded processors based on the ARM version 7 instruction set architecture (such as Nvidia's Tegra 2 series, TI's OMAP 3 series and Freescale's i.MX51 based on the Cortex-A8 core, or the Qualcomm Snapdragon and Marvell Armada 500/600 based on custom ARMv7 implementations) offer similar performance to the low end Atom chipsets[dubious ] but at roughly one quarter the power consumption, and (like most ARM systems) as a single integrated system on a chip, rather than a two chip solution like the current Atom line. Although the next-generation Atom codenamed "Pineview" should greatly increase its competitiveness in performance/watt, ARM plans to counter the threat with the multi-core capable Cortex-A9 core as used in Nvidia's Tegra 2/3, TI's OMAP 4 series, and Qualcomm's next-generation Snapdragon series, among others.

The Nano and Nano Dual-Core series from VIA is slightly above the average thermal envelope of the Atom, but offers hardware AES support, random number generators, and out-of-order execution. Performance comparisons of the Intel Atom against the Via Nano indicate that a single core Intel Atom is outperformed by the Via Nano which is in turn outperformed by a dual core Intel Atom 330 in tests where the second core is used. The Core 2 Duo SU7300 outperforms the dual-core Nano.[54][55][56][57][58][59][60][61]

The Xcore86 (also known as the PMX 1000) is x586 based System on Chip (SoC) that offers a below average thermal envelope compared to the Atom.

Kenton Williston of EE Times said that while Atom will not displace ARM from its current markets, the ability to apply the PC architecture into smaller, cheaper and lower power form factors will open up new markets for Intel.[62]

See also

References

  1. ^ "Intel® Atom™ Processor Z520". Intel. http://ark.intel.com/Product.aspx?id=35466. 
  2. ^ a b "Intel Announces Next-Generation Atom Platform". Intel. http://www.intel.com/pressroom/archive/releases/2009/20091221comp_sm.htm. 
  3. ^ a b Jeff Moriarty (1 April 2008). "'Atom 101' - Deciphering the Intel codewords around MIDs". http://software.intel.com/en-us/blogs/2008/04/01/atom-101-deciphering-the-intel-codewords-around-mids/. Retrieved 4 August 2010. 
  4. ^ a b Anand Lal Shimpi (27 January 2010). "Why Pine Trail Isn't Much Faster Than the First Atom". http://www.anandtech.com/show/2925. Retrieved 4 August 2010. 
  5. ^ "Intel to unveil OLPC chips in Shanghai next April". InfoWorld. 15 October 2007. http://www.infoworld.com/article/07/10/15/Intel-to-unveil-OLPC-chips-in-Shanghai_1.html. 
  6. ^ "Intel Announces Atom Brand for Silverthorne, Menlow". PC World. http://www.pcworld.com/article/id,143020-pg,1/article.html. 
  7. ^ "Intel Developer Forum Spring 2008: Day 1 - Hardware Upgrade". Hwupgrade.it. 30 July 2005. http://www.hwupgrade.it/articoli/cpu/1938/intel-developer-forum-spring-2008-day-1_10.html. Retrieved 4 April 2010. 
  8. ^ "Lenovo exhibits Atom based MID Ideapad U8 at IDF 2008 : Specs, reviews and prices". http://www.mobilewhack.com/lenovo-exhibits-atom-based-mid-ideapad-u8-at-idf-2008/. 
  9. ^ "MID dev kit sports Centrino Atom chipset". http://www.linuxdevices.com/news/NS4807155541.html. Retrieved 29 January 2011. 
  10. ^ "Tiny Centrino Atom-based module unveiled". http://www.linuxdevices.com/news/NS8088872380.html. Retrieved 29 January 2011. 
  11. ^ "Intel Desktop Board D945GCLF - Overview". http://www.intel.com/products/motherboard/D945GCLF/index.htm. Retrieved 29 January 2011. 
  12. ^ "Intel offers $80 "Little Falls" Atom mobo". http://www.linuxdevices.com/news/NS6489450984.html. Retrieved 29 January 2011. 
  13. ^ Intel.com Products: SPECIFICATIONS: Intel® Atom™ Processor
  14. ^ http://h10025.www1.hp.com/ewfrf/wc/document?docname=c02498873&tmp_task=prodinfoCategory&lc=en&dlc=en&cc=us&product=4323518#N24
  15. ^ "SiSoft Sandra : Atom Benchmarked: 4W Of Performance". Tomshardware.com. 29 July 2008. http://www.tomshardware.com/reviews/Intel-Atom-Efficient,1981-17.html. Retrieved 4 April 2010. 
  16. ^ "Intel Pentium M 740 PCSTATS Review - Benchmarks: Office Productivity, SiSoft Sandra 2005". PCstats.com. http://www.pcstats.com/articleview.cfm?articleid=2008&page=4. 
  17. ^ "Why Pine Trail Isn't Much Faster Than the First Atom". AnandTech. http://www.anandtech.com/cpuchipsets/showdoc.aspx?i=3728&cp=2#comments. Retrieved 4 April 2010. 
  18. ^ a b "Intel Atom Processor Specifications". Intel.com. http://www.intel.com/products/processor/atom/specifications.htm. Retrieved 4 April 2010. 
  19. ^ a b "Intel's Atom Architecture: The Journey Begins". AnandTech. http://www.anandtech.com/showdoc.aspx?i=3276&p=9. Retrieved 4 April 2010. 
  20. ^ "ISSCC 2008: Details on Intel Silverthorne". 4 February 2008. http://blogs.zdnet.com/Ou/?p=987. Retrieved 5 February 2008. 
  21. ^ "Intel christens Silverthorne as "Atom"". 2 March 2008. http://blogs.zdnet.com/Ou/?p=1037. Retrieved 2 March 2008. 
  22. ^ "ISSCC 2008 Preview: Silverthorne, Rock, Tukwila and More". 5 December 2007. http://www.realworldtech.com/page.cfm?ArticleID=RWT120507050619&p=2. 
  23. ^ Krazit, Tom (5 February 2008). "Intel sheds a little more light on Silverthorne". http://www.news.com/8301-13579_3-9865129-37.html?tag=nefd.top. 
  24. ^ "LinuxWorld - Intel expects Atom desktops for $199". http://www.linuxworld.com.au/index.php?id=1200310229&rid=-50. 
  25. ^ "Intel plots $100 "NetTop" market with Diamondville - Engadget". http://www.engadget.com/2008/03/12/intel-plots-100-nettop-market-with-diamondville/. 
  26. ^ "Gizmodo, the Gadget Guide". http://gizmodo.com/367936/intel-atom-will-bring-cheaper-nettop-computers. 
  27. ^ "Intel Atom Processor N270 Product Information". Intel Corporation. http://ark.intel.com/Product.aspx?id=36331. Retrieved 1 March 2010. 
  28. ^ "Intel Atom Processor N280 Product Information". Intel Corporation. http://ark.intel.com/Product.aspx?id=41411. Retrieved 1 March 2010. 
  29. ^ "Intel Atom Processor with Mobile Intel 945GSE Express Chipset". Intel.com. http://ark.intel.com/system.aspx?groupID=36331&configID=27616&chipsetID=36550. Retrieved 4 April 2010. 
  30. ^ "Intel Atom Processor N270 (512K Cache, 1.60 GHz, 533 MHz FSB) with SPEC Code(s) SLB73". Intel.com. http://ark.intel.com/cpu.aspx?groupId=36331. Retrieved 4 April 2010. 
  31. ^ "Mobile Intel 945GSE Express Chipset". Intel.com. http://ark.intel.com/chipset.aspx?familyID=35553. Retrieved 4 April 2010. 
  32. ^ "Intel 82801GBM I/O Controller". Intel.com. http://ark.intel.com/product.aspx?id=27680. Retrieved 4 April 2010. 
  33. ^ "System Controller Hub US15W for Embedded Computing". Intel. 3 December 2007. http://www.intel.com/design/chipsets/embedded/SCHUS15W/index.htm. Retrieved 6 January 2009. 
  34. ^ "9.3W + 2.5W = 11.8W". http://www.dailytech.com/Intel+Officially+Announces+Pineview+Atom+Chips+Pine+Trail+Netbook+Platform/article17056.htm. 
  35. ^ "New Intel Atom N450, D410 And D510 CPUs Are Official". I4u.com. 20 December 2009. http://www.i4u.com/article29389.html. Retrieved 4 April 2010. 
  36. ^ "Intel Officially Announces "Pineview" Atom Chips, "Pine Trail" Netbook Platform". DailyTech. http://www.dailytech.com/Intel+Officially+Announces+Pineview+Atom+Chips+Pine+Trail+Netbook+Platform/article17056.htm. Retrieved 4 April 2010. 
  37. ^ Crothers, Brooke (20 December 2009). "Intel launches redesigned Atom chip for Netbooks | Business Tech". Cnet News. http://news.cnet.com/8301-1001_3-10419387-92.html. Retrieved 4 April 2010. 
  38. ^ "ASUS Eee PC 1005P/PE with Atom N450 and 12.5-hour battery breaks cover". Engadget. http://www.engadget.com/2009/12/18/asus-eee-pc-1005p-pe-with-atom-n450-and-12-5-hour-battery-breaks/. Retrieved 4 April 2010. 
  39. ^ "New Intel Atom N450 not much improvement from old one". Pinoywebsurfer.com. 21 December 2009. http://www.pinoywebsurfer.com/2009/12/new-intel-atom-n450-not-much.html. Retrieved 4 April 2010. 
  40. ^ "AnandTech review of the ASUS Eee PC 1005PE". http://www.anandtech.com/mobile/showdoc.aspx?i=3693. 
  41. ^ "Intel details next-generation Atom platform, say hello to Pine Trail". Engadget. http://www.engadget.com/2009/05/20/intel-details-next-generation-atom-platform-say-hello-to-pine-t/. Retrieved 4 April 2010. 
  42. ^ "Intel Atom N470 gets early announcement". SlashGear. 27 February 2010. http://www.slashgear.com/intel-atom-n470-gets-early-announcement-2775905/. Retrieved 4 April 2010. 
  43. ^ "Intel Unveils Atom N470 Processor". Nexus404.com. 27 February 2010. http://nexus404.com/Blog/2010/02/27/intel-unveils-atom-n470-processor-intels-worst-kept-secret-uncovered-new-atom-processor-has-1-83ghz/. Retrieved 4 April 2010. 
  44. ^ "Vendors Launching Atom N450 Netbooks Soon". Hardocp.com. 11 January 2010. http://www.hardocp.com/news/2009/12/04/vendors_launching_atom_n450_netbooks_soon/. Retrieved 4 April 2010. 
  45. ^ Shilov, Anton (1 April 2008). "Intel Atom Processors Set to Get Embedded Graphics Core, Memory Controller". X-bit Labs. http://www.xbitlabs.com/news/cpu/display/20080401081059_Intel_Atom_Processors_Set_to_Get_Embedded_Graphics_Core_Rumours.html. Retrieved 12 July 2008. 
  46. ^ a b "Intel Atom Processor D510 (1M Cache, 1.66 GHz)". Intel Corporation. http://ark.intel.com/Product.aspx?id=43098. Retrieved 10 May 2010. 
  47. ^ a b "Intel Atom Processor D410 (512K Cache, 1.66 GHz)". Intel Corporation. http://ark.intel.com/Product.aspx?id=43517. Retrieved 10 May 2010. 
  48. ^ a b Intel Newsroom (April 11, 2011)
  49. ^ http://www.theinquirer.net/inquirer/news/2114035/intel-quietly-launches-atom-chips?WT.rss_f=Home&WT.rss_a=Intel+quietly+launches+four+Atom+chips
  50. ^ [1]
  51. ^ "TSMC To Build Intel's Atom-Based Chips". Forbes. 2 March 2009. http://www.forbes.com/2009/03/02/intel-tsmc-atom-technology_atom.html. Retrieved 3 March 2009. 
  52. ^ "Intel, Google announce partnership for Android smartphones". September 14, 2011. http://www.antaranews.com/en/news/75681/intel-google-announce-partnership-for-android-smartphones. 
  53. ^ "Low-Power Gaming: AMD's E-350 Vs. Intel Atom D525 And Ion 2". Tom's Hardware. 14 April 2011. http://www.tomshardware.com/reviews/amd-e-350-atom-d525-nvidia-ion-2,2905.html. Retrieved 14 April 2011. 
  54. ^ "Intel Atom vs. VIA Nano Platform Comparo Introduction". TweakTown. 11 August 2008. http://www.tweaktown.com/articles/1540/intel_atom_vs_via_nano_platform_comparo/index.html. Retrieved 4 April 2010. 
  55. ^ "VIA Nano Dual Core Preview". 26 December 2010. http://www.bit-tech.net/hardware/cpus/2010/11/02/via-nano-dual-core-preview/. Retrieved 26 December 2010. 
  56. ^ Kyle Bennett. "Introduction & Power - Intel Atom vs.VIA Nano". Hardocp.com. http://enthusiast.hardocp.com/article/2008/07/29/intel_atom_vsvia_nano. Retrieved 4 April 2010. 
  57. ^ "VIA Nano vs Intel Atom". TrustedReviews. http://www.trustedreviews.com/cpu-memory/review/2008/08/15/VIA-Nano-vs-Intel-Atom/p1. Retrieved 4 April 2010. 
  58. ^ "VIA Nano Outperforms Intel Atom in Actual Industry Performance Benchmarking tests". Mydigitallife.info. 31 July 2008. http://www.mydigitallife.info/2008/07/31/via-nano-outperforms-intel-atom-in-actual-industry-performance-benchmarking-tests/. Retrieved 4 April 2010. 
  59. ^ "Intel Atom Initial Benchmarking Data vs. Pentium and Celeron M Processors Before Official Release". Mydigitallife.info. 8 March 2008. http://www.mydigitallife.info/2008/03/08/intel-atom-initial-benchmarking-data-vs-pentium-and-celeron-m-processors-before-official-release/. Retrieved 4 April 2010. 
  60. ^ "EEE PC vs MSI Wind - Atom vs Celeron CPU Performance Benchmark: Netbooks, EEE PC, MSI Wind, Aspire One and Akoya Resources". Eeejournal.com. 11 May 2008. http://www.eeejournal.com/2008/05/eee-pc-vs-msi-wind-atom-vs-celeron-cpu.html. Retrieved 4 April 2010. 
  61. ^ "Intel Atom 230/330/VIA Nano performances contrasted". En.hardspell.com. 25 September 2008. http://en.hardspell.com/doc/showcont.asp?news_id=4128. Retrieved 4 April 2010. 
  62. ^ Analysis: The real scoop on Atom-ARM rivalry

Press

External links



Wikimedia Foundation. 2010.

Игры ⚽ Поможем сделать НИР

Look at other dictionaries:

  • Intel Atom — Atom Intel Atom N270 Production 2008 Conçu par Intel Fréquence 800  …   Wikipédia en Français

  • Intel Atom — Logo Produktion: seit 2008 Produzent: Intel Proze …   Deutsch Wikipedia

  • Intel Atom — У этого термина существуют и другие значения, см. Atom (значения). Intel Atom Центральный процессор …   Википедия

  • Intel Atom — Para otros usos de este término, véase Atom (desambiguación). Intel® Atom™ Microprocesador Procesador Intel® Atom™ Producción …   Wikipedia Español

  • Intel-Atom-Mikroarchitektur — Die Intel Atom Mikroarchitektur ist eine sehr einfache, von Intel entwickelte Mikroarchitektur für stromsparende Prozessoren, die hauptsächlich in mobilen Geräten eingesetzt werden. Inhaltsverzeichnis 1 Technisches 1.1 Hyper Threading 1.2… …   Deutsch Wikipedia

  • List of Intel Atom microprocessors — The Intel Atom is Intel s line of low power x86 microprocessors. Atom, with codenames of Silverthorne and Diamondville , was first announced on March 2, 2008. Nettop processors (Desktop)Atom 200 series (single core) Diamondville (45 nm)* All… …   Wikipedia

  • Intel AZ210 — Бренд XOLO X900 (Индия) Orange San Diego (Великобритания) МегаФон Mint (Россия) Производитель Intel Поддерживаемые сети GSM (850/900/1800/1900); UMTS/HSPA: (850/900/1900/2100); HSPA+: (850/900/1900/2100) Начальная цена …   Википедия

  • Intel Core i5 — Intel Core i5 Produzent: Intel L3 Cachegröße: 4 MB bis 8 MB Fertigung: 45 nm bis 32 nm Befehlssatz: x86 / Intel 64 (AMD64) …   Deutsch Wikipedia

  • Intel-Core-2 — Core 2 Duo E7200 Wolfdale Produktion: 2006 bis ? Produzent: Intel Prozessortakt: 1,06 GHz bis …   Deutsch Wikipedia

  • Intel Celeron (Netburst) — Intel Celeron Celeron Emblem Produktion: 2002 bis 2004 Produzent: Intel Prozessortakt …   Deutsch Wikipedia

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”